1. 首页
  2. 课程学习
  3. 软件测试
  4. eda实验修改7段码的值,来显示你要显示的数

eda实验修改7段码的值,来显示你要显示的数

上传者: 2018-12-19 12:52:53上传 TXT文件 804B 热度 20次
你可以修改7段码的值,来显示你要显示的数--本实验,按下板上的四个KEY时,数码管显示得到的数据 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity decl7s is
下载地址
用户评论